VHDL versus SystemVerilog

preview_player
Показать описание
What is the difference between VHDL and SystemVerilog? Doulos co-founder and technical fellow John Aynsley compares the features of VHDL and SystemVerilog.

POPULAR SystemVerilog TRAINING

POPULAR VHDL TRAINING

Subscribe to our channel, @DoulosTraining, for more:
- Introductory videos to range of our most popular training topics – System Verilog, UVM, SystemC & TLM-2.0, VHDL, Python & Deep Learning, & Arm.
- Answers to common questions & “how to’s ”.
- Our latest live & on-demand webinars (& joining links).

Follow us on Twitter: @DoulosTraining

Рекомендации по теме
Комментарии
Автор

I know this video is 10 years old, but I found it really nice to watch now that I'm doing a masters degree and need to learn SystemVerilog, and don't know much about it, while coming from VHDL. Thanks, man.

DirectorX
Автор

Just wanted to know if all of this information is still valid in 2024 or have any major changes occurred since the the posting of this video?

pranaypallavtripathi
Автор

Thank you this was very useful information!

alexanderquilty