PD Lec 47 - concurrent clock and data optimization| CCD| Timing | placement | VLSI | Physical Design

preview_player
Показать описание
#vlsi #academy #physical #design #VLSI #semiconductor #vlsidesign #vlsijobs #semiconductorjobs #electronics #BITS #qualcomm #netlist #digital #pd #physicaldesign #icc2 #synopsys
This is a 47th video on physical design series and mainly related to placement of std cells. In this video, we discuss the technique of useful skew [concurrent clock and data optimization] related to timing fixes in placement stage.
Please ask your doubts in comments.

PD Lecture series playlist:

Here's a link for Full STA series [till advanced level]:
Рекомендации по теме
Комментарии
Автор

sir, i feel the ccd topic was not sufficiently discussed. can you please elaborate further

vikineo
Автор

Can you please cover below topics :: clock domain crossing, synchronizers, RTF's & lock up latches ?

neerajmishra-dozq
Автор

Hi, Your videos are very helpful. Can you please post videos on Antenna Effect, Electromigration, Cross talk, IR drop etc., Thanks in advance.

compat
Автор

if FF2 is adding 50ps, is it adding through adding some buffer? Or How is the delay modelled?

montyi
Автор

Basically, Useful skew and CCD are kind of same. right.?

japeshsingla
Автор

At the end of the lecture, why AT becomes 940 and RT is 950? Why not AT=890 and RT=900, so as Slack=10ps?

SL-lqyh
Автор

Sir then what is mean by timing borrow concept

prakashbadhavath
Автор

Hello sir can you post videos on CTS. It will be very helpful. Thank you.

srinivasrahul
Автор

Can u pls tell me sir How does the CCD affect the area in placement?

sanjusingertelugu
Автор

What happens if i disable ccd in above scenario?

soorajjp
Автор

What is the difference between CCD and CTS than?

shubhangisingh