Writing a simple Testbench in VHDL - #1 Of Testbench Series

preview_player
Показать описание
In this video, I will show you how to write a testbench in VHDL. This is super beginner level testbench, where the entity we are testing is just an AND gate. Nonetheless it will give you a good introduction to this topic.
The coding is done and tested on Xilinx ISE.

Рекомендации по теме
Комментарии
Автор

exceptional tutorial. Best I've seen. There are only 3 things to keep in mind: signal declaration before the first begin, instantiation (port mapping), then process to update in time the inputs.

adelingt
Автор

I come from a software background and I am just starting with hardware development. As such, I really appreciate examples with SIMPLE logic like this (adders, counters, memories, etc. are too complex for me at this point). Thank you for this tutorial.

dhoneybeekingdom
Автор

thank you, this was very straight forward and not overwhelming

pepesylvia
Автор

This was a great explanation video. Can you try creating a video with self checking testbench of a slightly complex module.

nitdawg
Автор

This was a very good explanation, it helped me a lot! Greetings from germany

Booo
Автор

thank you for the tutorial! was very clear and easy to follow.

LNguyen